Følg
Udit Gupta
Udit Gupta
Assistant Professor
Verificeret mail på cornell.edu - Startside
Titel
Citeret af
Citeret af
År
Deep learning recommendation model for personalization and recommendation systems
M Naumov, D Mudigere, HJM Shi, J Huang, N Sundaraman, J Park, ...
arXiv preprint arXiv:1906.00091, 2019
6232019
Ares: A framework for quantifying the resilience of deep neural networks
B Reagen, U Gupta, L Pentecost, P Whatmough, SK Lee, N Mulholland, ...
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
3222018
Mlperf training benchmark
P Mattson, C Cheng, G Diamos, C Coleman, P Micikevicius, D Patterson, ...
Proceedings of Machine Learning and Systems 2, 336-349, 2020
3062020
The architectural implications of facebook's dnn-based personalized recommendation
U Gupta, CJ Wu, X Wang, M Naumov, B Reagen, D Brooks, B Cottel, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2742020
Sustainable ai: Environmental implications, challenges and opportunities
CJ Wu, R Raghavendra, U Gupta, B Acun, N Ardalani, K Maeng, G Chang, ...
Proceedings of Machine Learning and Systems 4, 795-813, 2022
2522022
Chasing carbon: The elusive environmental footprint of computing
U Gupta, YG Kim, S Lee, J Tse, HHS Lee, GY Wei, D Brooks, CJ Wu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
2182021
Recnmp: Accelerating personalized recommendation with near-memory processing
L Ke, U Gupta, BY Cho, D Brooks, V Chandra, U Diril, A Firoozshahian, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1902020
Deeprecsys: A system for optimizing end-to-end at-scale neural recommendation inference
U Gupta, S Hsia, V Saraph, X Wang, B Reagen, GY Wei, HHS Lee, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1682020
Rosetta: A realistic high-level synthesis benchmark suite for software programmable FPGAs
Y Zhou, U Gupta, S Dai, R Zhao, N Srivastava, H Jin, J Featherston, ...
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
1392018
RecSSD: near data processing for solid state drive based recommendation inference
M Wilkening, U Gupta, S Hsia, C Trippel, CJ Wu, D Brooks, GY Wei
Proceedings of the 26th ACM International Conference on Architectural …, 2021
882021
ACT: Designing sustainable computer systems with an architectural carbon modeling tool
U Gupta, M Elgamal, G Hills, GY Wei, HHS Lee, D Brooks, CJ Wu
Proceedings of the 49th Annual International Symposium on Computer …, 2022
782022
Carbon explorer: A holistic framework for designing carbon aware datacenters
B Acun, B Lee, F Kazhamiaka, K Maeng, U Gupta, M Chakkaravarthy, ...
Proceedings of the 28th ACM International Conference on Architectural …, 2023
682023
Masr: A modular accelerator for sparse rnns
U Gupta, B Reagen, L Pentecost, M Donato, T Tambe, AM Rush, GY Wei, ...
2019 28th International Conference on Parallel Architectures and Compilation …, 2019
602019
Weightless: Lossy weight encoding for deep neural network compression
B Reagan, U Gupta, B Adolf, M Mitzenmacher, A Rush, GY Wei, D Brooks
International Conference on Machine Learning, 4324-4333, 2018
492018
Maxnvm: Maximizing dnn storage density and inference efficiency with sparse encoding and error mitigation
L Pentecost, M Donato, B Reagen, U Gupta, S Ma, GY Wei, D Brooks
Proceedings of the 52Nd Annual IEEE/ACM International Symposium on …, 2019
412019
Dynamic hazard resolution for pipelining irregular loops in high-level synthesis
S Dai, R Zhao, G Liu, S Srinath, U Gupta, C Batten, Z Zhang
Proceedings of the 2017 ACM/SIGDA International Symposium on Field …, 2017
382017
A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators
PN Whatmough, SK Lee, M Donato, HC Hsueh, S Xi, U Gupta, ...
2019 Symposium on VLSI Circuits, C34-C35, 2019
372019
On-chip deep neural network storage with multi-level eNVM
M Donato, B Reagen, L Pentecost, U Gupta, D Brooks, GY Wei
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
372018
Deep learning recommendation model for personalization and recommendation systems. CoRR abs/1906.00091 (2019)
M Naumov, D Mudigere, HJM Shi, J Huang, N Sundaraman, J Park, ...
URL: https://arxiv. org/abs/1906 91, 1906
351906
Cross-stack workload characterization of deep recommendation systems
S Hsia, U Gupta, M Wilkening, CJ Wu, GY Wei, D Brooks
2020 IEEE International Symposium on Workload Characterization (IISWC), 157-168, 2020
322020
Systemet kan ikke foretage handlingen nu. Prøv igen senere.
Artikler 1–20