Follow
Domenic Forte
Title
Cited by
Cited by
Year
Hardware trojans: Lessons learned after one decade of research
K Xiao, D Forte, Y Jin, R Karri, S Bhunia, M Tehranipoor
ACM Transactions on Design Automation of Electronic Systems (TODAES) 22 (1 …, 2016
5452016
Benchmarking of hardware trojans and maliciously affected circuits
B Shakya, T He, H Salmani, D Forte, S Bhunia, M Tehranipoor
Journal of Hardware and Systems Security 1, 85-102, 2017
3202017
A survey on chip to system reverse engineering
SE Quadir, J Chen, D Forte, N Asadizanjani, S Shahbazmohamadi, ...
ACM journal on emerging technologies in computing systems (JETC) 13 (1), 1-34, 2016
3102016
Counterfeit integrated circuits
M Tehranipoor, U Guin, D Forte, M Tehranipoor, U Guin, D Forte
Counterfeit Integrated Circuits: Detection and Avoidance, 15-36, 2015
2332015
Novel bypass attack and BDD-based tradeoff analysis against all known logic locking attacks
X Xu, B Shakya, MM Tehranipoor, D Forte
Cryptographic Hardware and Embedded Systems–CHES 2017: 19th International …, 2017
2162017
On reverse engineering-based hardware Trojan detection
C Bao, D Forte, A Srivastava
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1842015
On application of one-class SVM to reverse engineering-based hardware Trojan detection
C Bao, D Forte, A Srivastava
Fifteenth International Symposium on Quality Electronic Design, 47-54, 2014
1722014
Temperature tracking: An innovative run-time approach for hardware Trojan detection
D Forte, C Bao, A Srivastava
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 532-539, 2013
1642013
Bit selection algorithm suitable for high-volume production of SRAM-PUF
K Xiao, MT Rahman, D Forte, Y Huang, M Su, M Tehranipoor
2014 IEEE international symposium on hardware-oriented security and trust …, 2014
1592014
An aging-resistant RO-PUF for reliable key generation
MT Rahman, F Rahman, D Forte, M Tehranipoor
IEEE Transactions on Emerging Topics in Computing 4 (3), 335-348, 2015
1502015
ARO-PUF: An aging-resistant ring oscillator PUF design
MT Rahman, D Forte, J Fahrny, M Tehranipoor
2014 design, automation & test in Europe conference & exhibition (DATE), 1-6, 2014
1332014
AVFSM: A framework for identifying and mitigating vulnerabilities in FSMs
A Nahiyan, K Xiao, K Yang, Y Jin, D Forte, M Tehranipoor
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
1252016
A novel built-in self-authentication technique to prevent inserting hardware trojans
K Xiao, D Forte, M Tehranipoor
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
1212014
Highly reliable key generation from electrocardiogram (ECG)
N Karimian, Z Guo, M Tehranipoor, D Forte
IEEE Transactions on Biomedical Engineering 64 (6), 1400-1411, 2016
1132016
Probing attacks on integrated circuits: Challenges and research opportunities
H Wang, D Forte, MM Tehranipoor, Q Shi
IEEE Design & Test 34 (5), 63-71, 2017
1122017
Anti-counterfeit techniques: From design to resign
U Guin, D Forte, M Tehranipoor
2013 14th International workshop on microprocessor test and verification, 89-94, 2013
1092013
Aging analysis for recycled FPGA detection
H Dogan, D Forte, MM Tehranipoor
2014 IEEE international symposium on defect and fault tolerance in VLSI and …, 2014
1042014
CAS-Lock: A security-corruptibility trade-off resilient logic locking scheme
B Shakya, X Xu, M Tehranipoor, D Forte
IACR Transactions on Cryptographic Hardware and Embedded Systems, 175-202, 2020
1012020
Temperature tracking: Toward robust run-time detection of hardware Trojans
C Bao, D Forte, A Srivastava
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1012015
Low-cost on-chip structures for combating die and IC recycling
U Guin, X Zhang, D Forte, M Tehranipoor
Proceedings of the 51st annual design automation conference, 1-6, 2014
1012014
The system can't perform the operation now. Try again later.
Articles 1–20