Follow
Srinivas Devadas
Srinivas Devadas
Other namesSrini Devadas
Edwin Sibley Webster Professor of Electrical Engineering and Computer Science, MIT
Verified email at mit.edu - Homepage
Title
Cited by
Cited by
Year
Physical unclonable functions for device authentication and secret key generation
GE Suh, S Devadas
Proceedings of the 44th annual design automation conference, 9-14, 2007
30152007
Intel SGX Explained
V Costan, S Devadas
IACR Cryptol. ePrint Arch. 2016 (86), 1-118, 2016
23182016
Silicon physical random functions
B Gassend, D Clarke, M Van Dijk, S Devadas
Proceedings of the 9th ACM Conference on Computer and Communications …, 2002
20962002
Physical unclonable functions and applications: A tutorial
C Herder, MD Yu, F Koushanfar, S Devadas
Proceedings of the IEEE 102 (8), 1126-1141, 2014
12772014
Extracting secret keys from integrated circuits
D Lim, JW Lee, B Gassend, GE Suh, M Van Dijk, S Devadas
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (10 …, 2005
12722005
Path ORAM: an extremely simple oblivious RAM protocol
E Stefanov, M Dijk, E Shi, THH Chan, C Fletcher, L Ren, X Yu, S Devadas
Journal of the ACM (JACM) 65 (4), 1-26, 2018
11862018
A technique to build a secret key in integrated circuits for identification and authentication applications
JW Lee, D Lim, B Gassend, GE Suh, M Van Dijk, S Devadas
2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No …, 2004
11252004
Modeling attacks on physical unclonable functions
U Rührmair, F Sehnke, J Sölter, G Dror, S Devadas, J Schmidhuber
Proceedings of the 17th ACM conference on Computer and communications …, 2010
11082010
Secure program execution via dynamic information flow tracking
GE Suh, JW Lee, D Zhang, S Devadas
ACM Sigplan Notices 39 (11), 85-96, 2004
10752004
AEGIS: Architecture for tamper-evident and tamper-resistant processing
GE Suh, D Clarke, B Gassend, M Van Dijk, S Devadas
ACM International Conference on Supercomputing 25th Anniversary Volume, 357-368, 2003
8552003
Estimation of average switching activity in combinational and sequential circuits
A Ghosh, S Devadas, K Keutzer, J White
7481992
Sanctum: Minimal hardware extensions for strong software isolation
V Costan, I Lebedev, S Devadas
25th USENIX Security Symposium (USENIX Security 16), 857-874, 2016
7192016
PUF modeling attacks on simulated and silicon data
U Rührmair, J Sölter, F Sehnke, X Xu, A Mahmoud, V Stoyanova, G Dror, ...
IEEE transactions on information forensics and security 8 (11), 1876-1891, 2013
6342013
Dynamic partitioning of shared cache memory
GE Suh, L Rudolph, S Devadas
The Journal of Supercomputing 28 (1), 7-26, 2004
5562004
Controlled physical random functions
B Gassend, D Clarke, M Van Dijk, S Devadas
18th Annual Computer Security Applications Conference, 2002. Proceedings …, 2002
5542002
Precomputation-based sequential logic optimization for low power
M Alidina, J Monteiro, S Devadas, A Ghosh, M Papaefthymiou
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2 (4), 426-436, 1994
4831994
Secure and robust error correction for physical unclonable functions
MD Yu, S Devadas
IEEE Design & Test of Computers 27 (1), 48-65, 2010
4702010
A survey of optimization techniques targeting low power VLSI circuits
S Devadas, S Malik
Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 242-247, 1995
4601995
Caches and hash trees for efficient memory integrity verification
B Gassend, GE Suh, D Clarke, M Van Dijk, S Devadas
The Ninth International Symposium on High-Performance Computer Architecture …, 2003
456*2003
Design and implementation of PUF-based" unclonable" RFID ICs for anti-counterfeiting and security applications
S Devadas, E Suh, S Paral, R Sowell, T Ziola, V Khandelwal
2008 IEEE international conference on RFID, 58-64, 2008
4492008
The system can't perform the operation now. Try again later.
Articles 1–20